Welcome![Sign In][Sign Up]
Location:
Search - fft vhdl

Search list

[VHDL-FPGA-Verilogfft_IPcore

Description: 这是一个fft的IP核,安装要求为quartus6.0以上。解压安装后可在quartus里例化使用,元件主要为cyclone和stratix,最大支持1024点的转换。
Platform: | Size: 8719360 | Author: 李杰 | Hits:

[VHDL-FPGA-Verilogmodelsim

Description: 基于存储器的基4按频率抽取的fft 的vhdl描述 可以对连续数据流进行256点的fft -Memory based on the base 4 by the frequency of fft taken the VHDL description of the continuous data stream can be carried out 256 point fft
Platform: | Size: 22528 | Author: 庞志勇 | Hits:

[OtherFFT_VHDL

Description: FFT的VHDL语言程序,属于电子EDA,VHDL语言设计-FFT of the VHDL language program, which e-EDA, VHDL Language Design
Platform: | Size: 30720 | Author: zhang | Hits:

[VHDL-FPGA-VerilogFFT_VHDL_code

Description: 这是VHDL关于FFT的源代码,欢迎大家下载使用。-This is the VHDL source code on the FFT welcome everyone download.
Platform: | Size: 29696 | Author: 张三 | Hits:

[MPIFFTIP

Description: vhdl fft
Platform: | Size: 31744 | Author: | Hits:

[VHDL-FPGA-Verilogip_fft128

Description: 128点fft的IP核vhdl源代码,另有其控制代码。-128 point fft s IP core VHDL source code, while its control code.
Platform: | Size: 7168 | Author: 戈立军 | Hits:

[Communicationfft16ref_v1_0

Description: 用VHDL语言实现的16点FFT编程 非常有用的东西-VHDL language used to achieve the 16 points FFT program very useful things
Platform: | Size: 655360 | Author: tanghuailu | Hits:

[Otherfft1024ref_v1_0

Description: 用vhdl实现的FFT自电子工程论坛-Achieved using VHDL FFT from electronic engineering Forum
Platform: | Size: 581632 | Author: zjy | Hits:

[Linux-Unixce05c90f-ada5-4e20-9e6d-80c9b5d8b53c

Description: 用vhdl实现的FFT1024点-Achieved using VHDL FFT1024 point
Platform: | Size: 358400 | Author: zjy | Hits:

[VHDL-FPGA-Verilogsynth_fft

Description: fft原代码,用vhdl语言完成快速傅立叶变换-fft the original code, complete with VHDL language Fast Fourier Transform
Platform: | Size: 421888 | Author: | Hits:

[source in ebookfftcode

Description: VHDL 的FFT 1024点源码。既有VHDL 的,也有Verlog的。比较好用。占用资源少-VHDL source code of the FFT 1024 points. Both VHDL and there are also some of the Verlog. Comparison of ease of use. Occupy less resources
Platform: | Size: 37888 | Author: 张加良 | Hits:

[VHDL-FPGA-VerilogFFT_VHDL

Description: FFT的VHDL源文件,经过在Quartus II上的测试无错误-FFT of the VHDL source file, after the Quartus II on the test error
Platform: | Size: 28672 | Author: 沈克镇 | Hits:

[VHDL-FPGA-Verilogfftinterface

Description: 电赛一等奖作品:音频信号分析仪的FPGA源码,VHDL编写,Quartus7.1综合,ModelSim6.2g se仿真,应用了opencores.org上的开源FFT IP核,加入了8051总线接口和ram-Xinhua Cup first prize works: audio signal analyzer FPGA source, VHDL prepared, Quartus7.1 integrated, ModelSim6.2g se simulation, application of open source opencores.org on FFT IP core, joined the 8051 bus interface and ram
Platform: | Size: 4933632 | Author: 李星 | Hits:

[VHDL-FPGA-Verilogcfft

Description: CFFT是一个数据宽度和点数都可配置的基4 FFT core,用VHDL实现-CFFT is a data width and the base points can be configured 4 FFT core, using VHDL realize
Platform: | Size: 168960 | Author: | Hits:

[Algorithm20070112201648396

Description: FFT参考设计和一篇用VHDL实现快速傅立叶变换的论文,包括原理分析和代码实现,印度圣雄甘地大学M.A.学院提供 -FFT reference design using VHDL and realize a fast Fourier transform of the thesis, including the principles of analysis and code realize, India Mahatma Gandhi University College, MA
Platform: | Size: 93184 | Author: 吴庆庆 | Hits:

[OtherVHDL_core_for_1024-point_radix-4_FFT_computation.r

Description: 该论文阐述了用于硬件信号处理的基于4基数12点快速傅立叶变换的VHDL核的设计过程。作者:Vite-Frias Jose Alberto、Romero-Troncoso Rene de Jesus、Ordaz-Moreno -The paper described the hardware for signal processing based on the 4 base 12 points Fast Fourier Transform Nuclear VHDL design process. Authors: Vite-Frias Jose Alberto, Romero-Troncoso Rene de Jesus, Ordaz-Moreno
Platform: | Size: 440320 | Author: Rae | Hits:

[VHDL-FPGA-Verilogvhdl_fft

Description: 一个用vhdl语言(硬件描述语言)编写的fft实现程序。fft用途很广,该程序可以在cpld或fpga等硬件上实现,软件坏境为maxplus10.0及以上或quartus2。-A use of VHDL language (Hardware Description Language), prepared by the procedure fft realize. fft uses a very wide, the program can CPLD or FPGA hardware to achieve, software environments for maxplus10.0 and above or quartus2.
Platform: | Size: 30720 | Author: 楚琳 | Hits:

[VHDL-FPGA-VerilogFFT_report

Description: Design Simulation and synthesis of a fft processor using VHDL
Platform: | Size: 362496 | Author: lys | Hits:

[VHDL-FPGA-VerilogcoreFFT_AR_3_0

Description: FFT算法VHDL生成器(Actel公司提供)-FFT algorithm for VHDL Generator (Actel offers)
Platform: | Size: 1406976 | Author: zhan | Hits:

[VHDL-FPGA-Verilogfftvhdl

Description: 用VHDL语言实现的FFT源代码,好使的程序,大家试试。QUARTUS II软件开发-VHDL language used to achieve the FFT source code, so that the procedure, we try. QUARTUS II software development
Platform: | Size: 28672 | Author: 王真 | Hits:
« 1 2 3 4 56 7 8 9 10 ... 20 »

CodeBus www.codebus.net